verilog


module top;

initial
    $display("Hello, world!");

endmodule


submitted by: cranston@Cadence.COM (William S. Cranston)